Самсонова Ірина Олександрівна
Факультет: комп'ютерних наук і технологій

---

Кафедра: комп'ютерной інженерії

---

Спеціальність: "Системне програмування"

---

Тема магістрської: Дослідження композиційних мікропрограмних пристроїв керування в базісі із зниженним енергоспоживанням

---

Науковий керівник: к.т.н., Мірошкін Олександр Миколаєвич

РЕФЕРАТ З ТЕМИ ВИПУСКНОЇ РОБОТИ "Дослідження композиційних мікропрограмних пристроїв керування в базісі із зниженним енергоспоживанням"

  1. ВСТУП
  2. 1. АКТУАЛЬНІСТЬ ТЕМИ
  3. 2. МЕТА І ЗАВДАННЯ ДОСЛІДЖЕННЯ
  4. 3. ОГЛЯД ДОСЛІДЖЕННЬ ТА РОЗРОБОК
    1. 3.1 ОГЛЯД МІЖНАРОДНИХ ДЖЕРЕЛ
    2. 3.2 ОГЛЯД НАЦІОНАЛЬНИХ ДЖЕРЕЛ
    3. 3.3 ОГЛЯД ЛАКАЛЬНИХ ДЖЕРЕЛ
  5. 4.ВИКОРИСТАННЯ СКЛАДНО-ФУНКЦІОНАЛЬНИХ БЛОКІВ
  6. 5.МЕТОДИ ЗНИЖЕННЯ СПОЖИВАННЯ ЕНЕРГІЇ СУЧАСНИМИ ПОРТАТИВНИМИ ПРИСТРОЯМИ
    1. 5.1 ЕНЕРГОЗБЕРІГАЮЧИЙ РЕЖИМ ПОПЕРЕДНІХ ПОКОЛІНЬ ПРОЦЕСОРІВ
    2. 5.2 НАСТУПНІ ШЛЯХИ ЗМЕНШЕННЯ ЕНЕРГОСПОЖИВАННЯ
    3. 5.3 ЗБЕРЕЖЕННЯ ЕНЕРГІЇ ЗА ДОПОМОГОЮ ДЖЕРЕЛА ЖИВЛЕННЯ
    4. 5.4 РЕКОМЕНДАЦІЇ ПРИ ВИБОРІ ТИПУ АКУМУЛЯТОРНИХ БАТАРЕЙ
  7. ВИСНОВОК
  8. ПЕРЕЛІК ДЖЕРЕЛ

ВСТУП

Бурхливий розвиток цифрових пристроїв останнім часом призвел до зростання вимог до їх продуктивності і функціональності. Перед розробниками постало завдання підвищення енергоефективності використовуваних рішень. Складність сучасних додатків і використання субмікронних технологій зумовлюють необхідність зниження енергоспоживання систем шляхом застосування оптимальних рішень в процесі проектування.

Удосконалення технологій проектування та виготовлення мікросхем довгий час супроводжувалося зменшенням характерних розмірів транзисторів відповідно до закону Мура, з одночасним зростанням їх швидкодії, що дозволяло підвищити ці характеристики. Однак зростання ступеня інтеграції та продуктивності мікросхем призводить до збільшення як загальної, так і питомої потужності, що розсіюється. Саме ці характеристики і почали грати все більшу роль в обмеженні граничних можливостей як окремих мікросхем, так і створюваних на їх основі пристроїв.

Одним з найважливіших завдань при проектуванні сучасних інтегральних схем є зменшення розсіюється. Особливо важливо вирішити цю проблему для портативних пристроїв, що працюють від батарей, тоді зменшення розсіювання потужності дозволить збільшити час роботи пристрою без додаткової підзарядки. Крім того дозволить знизити вартість корпусіровкі і збільшити швидкодію за рахунок більш низьких робочих температур. Також знижене енергоспоживання дозволяє спростити розведення шин живлення на кристалі, призводить до зменшення шумів на шинах харчування, прояву ефекту електроміграціі та електромагнітного випромінювання.

Проблема енергоспоживання актуальна і при проектуванні мікропроцесорів. Це пов'язано із зменшенням проектних норм, збільшенням робочої частоти і підвищенням щільності елементів на кристалі внаслідок ускладнення схемотехнической складової.

1. АКТУАЛЬНІСТЬ ТЕМИ

На всьому протязі свого існування активні напівпровідникові прилади практично не змінилися. Ймовірно, єдиним їх значною зміною було — зменшення мінімальних розмірів елементів відповідно до закону Мура.

Але з часом стало питання про те , що подальше масштабування і інші способи підвищення робочих характеристик кремнієвих транзисторів можуть привести до досягнення фізичних меж створюваних структур. Наприклад, зменшення довжини затвора і товщини затворного оксиду транзисторів в схемах мікропроцесорів, необхідне для збільшення їх швидкодії, викликає багато негативні ефекти, які вже не можна усунути за допомогою існуючих технологічних методів [13].

Так як проблеми існуючі методи незабаром досягнуть своєї межі, постає проблема в розробці нових підходів для зниження енергоспоживання мікропрограмних пристроїв керування .

2. МЕТА І ЗАВДАННЯ ДОСЛІДЖЕННЯ

Головною метою роботи є дослідження різних методів зниження енергоспоживання в схемах управління і розробка нових підходів .

Основні завдання дослідження:

  1. 1.Дослідження структур різних пристроїв управління;
  2. 2.Дослідження апаратурних і програмних методів впливу на їх параметри;
  3. 3.Разработка нових підходів для зниження енергоспоживання схем;
  4. 4.Тестірованіе різних методів у програмах моделювання.

Об'єкт дослідження: мікропрограмні пристрою керування.

Предмет дослідження: способи зниження споживаної потужності різними методами в мікропрограмних пристроях керування при його реалізації в базисі ПЛІС.

3. ОГЛЯД ДОСЛІДЖЕННЬ ТА РОЗРОБОК

Проблема зниження енергоспоживання була завжди актуальна. На цю тему написано чимало робіт і створено достатньо розробок. У даній роботі були вивчені такі роботи і коротко викладена їх суть.

3.1 Огляд міжнародних джерел

У світі давно чимало вчених і сіследователей піднімали питання про зниження енергоспоживання схем управління.

Дуже багато робіт на цю тему було написано американськими та європейськими вченими. Однією з корисних статей є робота Р. Айзека, в якій описується майбутнє технології КМОП [1]. Так само багато корисної інформації було отримано з роботи M. Педрана, де він описує методи зниження енергоспоживання різних схем [2]. У статті Д. Морріссі описується залежність потужності від продуктивності різних схему управління [3]. Так само К. Планте у своїй роботі описує різні підходи до зниження енергоспоживання [4]. Крім цих робіт, важливою є статися Ф.Вікершейма, де він розглядає різні методи проектування для оптимізації енергоспоживання в ПЛІС [5].

Російські вчені так само займаються даною проблемою. Наприклад, в роботі А.А. Гармаша розглядаються малопотужні цифрові сложнофункціональние блоки КМОП НВІС [6]. А.Ю. Лобанова у своїй статті описує дослідження методів зниження енергоспоживання при проектуванні мікропроцесорних НВІС [7], а В. Єжов розглядає шляхи зниження енергоспоживання у вбудованих додатках на базі DSP і FPGA [8].

3.2 Огляд національних джерел

Серед українських джерел не так багато матеріалу, але так само є кілька статей, що розглядають дану проблему. Наприклад, у роботі Д.І. Лазоренко досліджені алгоритм об'єднання одновимірних циклів вихідного тексту опису цифрових систем з метою зниження їх енергоспоживання [9].

3.3 Огляд локальних джерел

У Донецькому національному технічному університеті дана тема також піднімалася. У статті одній зі статей А.А. Баркалова, Л.А. Титаренко і А.Н. Мирошкина були розглянуті апаратні методи зниження енергоспоживання в композиційних мікропрограмних схемах управління, що базуються на ПЛІС [10].

Так само в іншій статті тих же авторів досліджена реалізація композиційних мікропрограмних пристроїв керування на FPGA- мікросхемах [11].

Крім цього, в роботі А.А. Баркалова і А.В. Матвієнко, С.А. Цололо описується оптимізація схеми автомата Мура на однорідних ПЛІС [12].

4. ВИКОРИСТАННЯ СКЛАДНО-ФУНКЦІОНАЛЬНИХ БЛОКІВ

Розробка комплексних заходів зниження енергоспоживання сучасних цифрових надвеликих інтегральних схем (НВІС), при збереженні інших функціональних параметрів, є однією з актуальних завдань розвитку методології проектування електронної компонентної бази (ЕКБ). Дане завдання стає ще більш актуальною внаслідок активного впровадження нанорозмірних НВІС типу "система-на-кристалі" (РНК, англ.System on chip) і розвитком методології проектування з повторним використанням складно-функціональних (СФ) блоків. Істотним чинником, що обмежує продуктивність таких пристроїв, стає саме енергоспоживання.

Нанорозмірні НВІС типу РНК містять процесорні ядра, пам'ять, а також велике число периферійних цифрових, цифро-аналогових і аналогових блоків. Залежно від функціонального призначення РНК, більше 70% енергоспоживання припадає на цифрові СФ-блоки, такі як оперативно-запам'ятовуючі пристрої (ОЗУ), арифметико-логічні пристрої (АЛП — включають у себе помножувачі, суматори, подільники та ін), блоки керуючої логіки, пристрої синхронізації та інше [16]. Тому одним з найважливіших завдань, що вирішуються при проектуванні РНК, є зменшення енергоспоживання цифрових СФ-блоків.

На даний момент загальною тенденцією зниження енергоспоживання є розвиток технологій, що дозволяють знизити напругу живлення мікросхем і струми витоків активних і паразитних елементів за рахунок застосування технологій з кількома пороговими напругами, варіюванням товщин подзатворного оксиду транзисторів, застосування декількох джерел напруги живлення і активних засобів управління споживаної потужністю. Струм витоку також може бути знижений за рахунок збільшення граничної напруги тих транзисторів, до швидкодії яких не пред'являються високі вимоги. Але це зажадає істотної зміни всього процесу проектування систем і, ймовірно, переходу до розробки повністю замовних пристроїв.

Зниження енергоспоживання НВІС РНК в рамках однієї технологічної бази — складна ієрархічна завдання, яке вирішується на всіх рівнях маршруту проектування НВІС. На системному рівні створюються, адаптуються і досліджуються ключові алгоритми роботи НВІС РНК, розробляється і верифицируется алгоритмічна модель системи. На архітектурному рівні визначається базова структура РНК, розробляються специфікації на її проектування цілком і на входять до її складу СФ-блоки. Функціональний рівень включає в себе етапи маршруту проектування СФ-блоків, засновані на використанні сучасних засобів САПР.

Залежно від рівня проектування, методи зниження енергоспоживання діляться на алгоритмічні (системний і архітектурний рівні), схемотехнічні і топологічні (функціональний рівень). Розробка СФ-блоків ведеться на функціональному рівні за специфікаціями, виробленим на системному і архітектурному рівнях.

Системи автоматизованого проектування (САПР) включають в себе широкий спектр програмних продуктів і методів, націлених на зниження споживаної потужності в проектованих пристроях. Крім того ведеться розробка нових підходів до проектування систем на РНК, нових алгоритмів і програмних засобів САПР, орієнтованих на перехід до нанорозмірних топологічним нормам. На даний момент актуальним є завдання збільшення швидкодії і збереження площі, займаної схемою.

У сучасні засоби САПР закладені алгоритми синтезу та верифікації цифрових СФ-блоків і НВІС, що реалізують маршрут проектування. Маршрут включає в себе наступні етапи:

  1. 1. Розробка функціонального опису СФ-блоку (RTL-опису) на мовах HDL (VHDL, Verilog, System Verilog);
  2. 2. Моделювання та верифікація розробленого функціонального опису блоку (засоби САПР — NC-Sim MLS );
  3. 3. Логічний синтез — процес створення електричної (логічної) схеми (списку ланцюгів) на базі RTL-опису та бібліотеки стандартних логічних елементів (САПР — Synopsys Design Compiler, Cadence — Build Gates);
  4. 4. Вентильна верифікація включає в себе вентильний моделювання (аналогове моделювання) і статичний часовий аналіз;
  5. 5. Фізичний синтез — процес автоматичного створення топології блоку на базі списку ланцюгів і бібліотеки стандартних логічних елементів;
  6. 6. Верифікація топології — перевірка топології блоку на відповідність технологічним правилам і вихідного списку ланцюгів. Функціональне моделювання топології блоку.

За винятком етапу написання RTL-коду, робота на етапах проектування цифрового СФ-блоку НВІС здійснюється в напівавтоматичному режимі , в якому розробник задає налаштування для синтезу та верифікації, виходячи з вимог до кінцевого результату. На етапі RTL-кодування робота ведеться вручну в текстовому редакторі, крім тих випадків, коли модель системного рівня складена з бібліотечних СФ-блоків. Тоді САПР надає можливість автоматичної генерації RTL-коду системи на основі параметризованих RTL-описів цифрових СФ-блоків. Таким чином, кошти САПР (Synopsys, Cadence) забезпечують автоматичний наскрізний маршрут проектування, втручання в який можливо на етапах:

  1. • розробки алгоритму функціонування і RTL-коду НВІС і СФ-блоків;
  2. • розробки середовища синтезу — бібліотек стандартних логічних елементів;
  3. • налаштування параметрів інструментів синтезу виходячи з вимог до пристрою.

Одним з обговорюваних питання є можливість зниження енергоспоживання окремих цифрових СФ-блоків за рахунок використання різних методів зниження енергоспоживання , в основу яких покладені загальновідомі принципи зниження загальної перезаряджається ємності , кількості перемикань для виконання певної логічної функції , динамічного зниження напруги живлення і відключення невикористовуваних блоків НВІС. Але не розглянуті питання розробки стандартних бібліотек логічних елементів, орієнтованих на застосування у високопродуктивних малопотребляющіх СФ-блоків.

5 . МЕТОДИ ЗНИЖЕННЯ СПОЖИВАННЯ ЕНЕРГІЇ СУЧАСНИМИ ПОРТАТИВНИМИ ПРИСТРОЯМИ

Бурхливий розвиток торкнулося також і сфери високо-інтегрованих програм для портативних комп'ютерів, смартфонів і стільникових телефонів. Число їх можливостей подвоюється з кожним роком, йдучи в ногу з нетерплячими споживачами інноваційних пропозицій. У таких умовах енергоспоживання стає одним з обмежуючих факторів, стримуючим подальший розвиток електронної техніки.

Сучасні додаткові нововведення, такі як підсвічування кольорових РК-дисплеїв, аудіо-підсистем, бездротових комунікацій вимагають все більше і більше енергії, що прямо позначається на тривалості роботи портативних пристроїв. Підвищуються вимоги до якості переданого голосу, роботі з даними мереж, аудіо-та відеосигналами привели до необхідності збільшення ПЗУ і ОЗУ, а так само робочої частоти процесора. Це зробило істотний вплив на споживану системою енергію. При створенні портативної техніки даний параметр став одним з найбільш критичних. Перед розробниками з'являється нова задача, що вимагає крім забезпечення устрою всієї сучасної функціональністю, зробити його також досить економічним з точки зору споживання енергії, надаючи можливість якомога довше залишатися працездатним.

На рис. 1 показано збільшення числа можливостей різних портативних пристроїв і відповідне їй зменшення часу роботи приладу.

Ріст динамічної та статичної потужності FPGA в залежності від технічного рівню (анімація: 7 кадрів, 5 циклів повторення, 116 кілобайт)

Рисунок 1 — Ріст динамічної та статичної потужності FPGA в залежності від технічного рівню

(анімація: 7 кадрів, 5 циклів повторення, 116 кілобайт)

5.1 Енергозберігаючий режим попереднього покоління процесорів

Можливість роботи пристроїв в декількох режимах є одним із способів вирішення проблеми енергоспоживання. Це було реалізовано в ряді процесорів попередніх поколінь. Наприклад , деякі процесори могли бути запрограмовані на три режими роботи (burst, sleep і doze). У портативних пристроях система може обробити завдання, що вимагаються користувачеві, і піти в режим очікування до наступного запиту. Протягом цього періоду тільки РК-дисплей залишається активним, сам же процесор буде знаходитися в режимі збереження, тобто працювати в малопотребляющіх режимі doze. При запуску будь-якої програми процесор буде переходити в режим burst , що характеризується найбільш високою робочою частотою і, відповідно, енергоспоживанням. Щоб знизити енергоспоживання необхідно зменшити частоту і временя роботи пристрою в цьому режимі. У разі, якщо акумуляторна батарея досягає критичного рівня запасу енергії, процесор запрограмований на перехід в режим sleep. Всі його блоки стають неактивними, крім годин реального часу (RTC). Для підтримки правильної роботи RTC струм, необхідний від батареї, повинен бути не менше 1 мА.

Наявність такого програмно-реалізованого способу збереження енергії було достатньо на ранньому етапі розвитку портативних пристроїв. Але з розширенням функціональності і постійним підвищенням обсягу інформації зросла необхідність ще більше знизити споживання енергії для використання всього потенціалу портативного пристрою.

5.2 Подальші шляхи зменшення енергоспоживання

На сьогоднішній день адекватну оцінку енергозбереження системи прийнято вимірювати в милливаттах на 1 мегагерц. Для кінцевого користувача чим нижче значення цієї характеристики, тим краще, тобто або більше тактова частота, або менше енергоспоживання.

Для зменшення енергоспоживання РК-дисплеїв розробники стали впроваджувати внутрішню "буферну" пам'ять зображення і незалежний контролер, який звільняє процесор від необхідності постійно оновлювати зображення на дисплеї. Це призводить до зменшення завантаженості центрального процесора, передачі потокової обробки даних різних периферійних контролерам, вирішальним свої завдання за рахунок апаратних засобів.

5.3 Збереження енергії за допомогою джерела живлення

Втрати при роботі мікропроцесора в основному складаються з суми втрат на перемиканнях. Зазвичай розсіює потужність процесора пропорційна квадрату напруги ядра процесора:

P ≈ C * (VDD2 * f),

де С — ємність комутуючих елементів, VDD — напруга живлення ядра процесора, f — тактова частота.

Для зменшення втрат енергії існує два методи.

Найбільш досконалим є метод адаптивного відстеження напруги (adaptive voltage scaling, AVS). Принцип збереження енергії полягає в наявності так званого контролера співвідношення напруги і частоти, який у разі необхідності змінює частоту роботи пристрою в залежності від необхідної в цей момент продуктивності. Схема адаптивного відстеження є замкнутою, тобто має зворотний зв'язок, що допомагає більш точно регулювати основні параметри, що визначають енергозбереження. За рахунок більш раціонального використання ресурсів елемента живлення можна зберегти енергію, пропорційну відношенню різниці квадратів живлять напруг до квадрату напруги живлення ядра процесора:

Е ≈ ((V_DD^2– V_DD1^2)/(V_DD^2 )) * 100%,

де E — збережена енергія , VDD1 — напруга живлення ядра процесора з урахуванням зменшення завантаженості процесора.

З отриманого виразу робиться висновок, що в процесі роботи залежно від частоти процесора необхідно регулювати напругу живлення, тим самим домагаючись збільшення часу роботи пристрою.

Для другого методу використовується схема динамічного відстеження напруги (dynamic voltage scaling scheme, DVS), за допомогою якої можливо зменшити втрати енергії шляхом регулювання ключових параметрів. Зміна частоти з напругою досить складний процес, враховуючи необхідність його тимчасового узгодження. Метод динамічного відстеження відноситься до так званих незамкнутим схемами, що є її недоліком. Відсутність зворотного зв'язку не може гарантувати синхронне зміна напруги в залежності від необхідної частоти роботи, що призводить до додаткової втрати енергії. Крім того, враховується розкид параметрів джерела живлення. За допомогою цього методу збереження енергії можливе, але не можна назвати його найефективнішим і простим.

Наявність замкнутого контуру дає AVS- методу незаперечна перевага: петля зворотного зв'язку спрощує процес контролю параметрів для регулювання, відпадає необхідність відстежувати частотну таблицю, її залежність від напруги, як в DVS-методі; AVS-схема стабілізує напруга живлення в межах ± 5 %.

5.4 Рекомендації для вибору типу акумуляторної батареї

Час функціонування портативних електронних систем сильно залежить від вибору батареї. Найбільш популярними є батареї на основі літію (Li-Ion), які в розрядженому стані мають напругу 3,6 В, а в зарядженому — 4,2 В. Існує також ще кілька електрохімічних систем акумуляторів, що застосовуються для живлення різних портативних устройст:

  1. - літій-полімер (Li-Pol);
  2. - нікель-металлгідрід (NiMH);
  3. - літій-діоксид марганцю (Li-MnO2).

Технологічний процес виробництва літій-іонних батарей відпрацьований. У них хороші технічні характеристики (ємність, внутрішній опір), але також необхідність у струмового захисту через нестабільність літій-іонного елемента. Переваги літій -полімерної системи полягає у використанні будь-якої геометрії комірки і меншою ймовірності самозаймання.

За всьому світу ведеться робота зі створення нових типів джерел енергії. На даний момент найбільш перспективною можна назвати паливну батарею (fuel element або fuel cell). Ємність такого джерела в 4 рази більше, ніж в аналогічної за габаритами акумуляторної батареї, що робить її дуже цікавою для ринку портативних пристроїв [18].

ВИСНОВОК

Постійний розвиток функціональності портативної техніки веде до збільшення споживання енергії. Для вирішення цієї проблеми існує безліч способів, таких як відкриття нових типів джерел живлення або удосконалення старих, економія енергії за рахунок застосування інтелектуальних мікросхем управління живленням, зміна розташування елементів на кристалі і так далі.

У сучасних розробників є хороші шанси відпрацювати використання великих можливостей мікросхем управління харчуванням до масової появи нових типів акумуляторів, що надалі, в результаті їх з'єднання, стане платформою для створення малопотребляющіх і портативних пристроїв з великою функціональністю і часом роботи без підзарядки.

ПЕРЕЛІК ДЖЕРЕЛ:

1.Айзек Р. Будущее технологии КМОП [Электронний ресурс]. — Режим доступу: http://www.osp.ru/os/2000/10/178239/

2.Jlrabaey, Pedran M. Low power design methodologies. / Jlrabaey, Pedran M.// Kluwer academic publishers. Thud printing. 1997. 368c

3.Morrissey D. Power vs. Performance: The ultimate DSP design challenge[Электронний ресурс]. — Режим доступу: http://dsp-fpga.com/articles/power-dsp-design-challenge/

4.Plante C. The drive to lower power [Электронний ресурс]. — Режим доступу: http://dsp-fpga.com/articles/the-drive-lower-power/

5.Wickersham F. Design techni¬ques for FPGA power optimization [Электронний ресурс]. — Режим доступу: http://dsp-fpga.com/articles/design-techniques-fpga-power-optimization/

6.Гармаш А.А. Маломощные цифровые сложнофункциональные блоки КМОП СБИС [Электронний ресурс]. — Режим доступу: http://www.dissercat.com/content/malomoshchnye-tsifrovye-slozhnofunktsionalnye-bloki-kmop-sbis

7.Лобанова А.Ю. Исследование и разработка методов снижения энергопотребления при проектировании микропроцессорных СБИС [Электронний ресурс]. — Режим доступу: http://www.miet.ru/upload/content/rnd/da/d01/2012/2012_miet_Lobanova_avtoref.pdf

8.Ежов В. Пути снижения энергопотребления во встраиваемых приложениях на базе DSP и FPGA [Электронний ресурс]. — Режим доступу: http://www.russianelectronics.ru/leader-r/review/2192/doc/48354/

9.Лазоренко Д.И. Алгоритм объединения одномерных циклов исходного текста описания цифровых систем с целью снижения их энергопотребления / Д.И.Лазоренко // Системи обробки інформації. – Х.:ХУПС, 2007.

10.Barkalov А., Titarenko L., Miroshkin A. Hardware reduction in FPGA-based compositional microprogram control units / Barkalov А., Titarenko L., Miroshkin A. // W: Proceedings of IEEE East-West Design & Test Symposium – EWDTS'09. Moscow, Russia, 2009. – Moscow : The Institute of Electrical and Electronics Engineers, Inc., 2009, 21-26 pp.

11.Баркалов А.А., Титаренко Л.А., Мирошкин А.Н. Реализация композиционных микропрограммных устройств управления на FPGA-микросхемах / Баркалов А.А., Титаренко Л.А., Мирошкин А.Н. // Радиоэлектроника и информатика, № 1 (52), январь-март 2011 г. – С. 54–58.

12.Баркалов А.А., Матвиенко А.В., Цололо С.А. Оптимизация схемы автомата Мура на однородных ПЛИС / Баркалов А.А., Матвиенко А.В., Цололо С.А. // Радиоэлектроника и информатика. – 2007

13.Майская В. Будущее транзисторных структур. На сколько справедлив закон Мура? [Электронний ресурс]. — Режим доступу: http://www.electronics.ru/files/article_pdf/1/article_1336_307.pdf

14.Федеральная целевая программа "Развитие электронной компонентной базы и радиоэлектроники" на 2008 2015 годы. Утверждена постановлением №809 от 26 ноября 2007 г.

15.Gronowski Р.Е. High performance microprocessor design / Р.Е.Gronowski // IEEE J.Solid-State Circuits. -1998. -vol.33. -№5. -pp.676-686.

16.Немудров В., Мартин Г. Системы-на-кристалле. Проектирование и развитие / Немудров В., Мартин Г. // Техносфера Москва -2004. -216с.

17.Oshana R. Embedded applications using integrated DSP and microprocessorcores [Электронний ресурс]. — Режим доступу: http://dsp-fpga.com/articles/embedded-integrated-dsp-microprocessor-cores/

18.Бирюков Е., Василенко Д. Методы снижения потребления энергии современными портативными устройствами [Электронний ресурс]. — Режим доступу: http://www.compitech.ru/html.cgi/arhiv/05_06/stat_198.htm

19.Информационный портал компании National Semiconductor по силовой электронике [Электронний ресурс]. — Режим доступу: Http://powerwise.national.com.

20.Портал силовых продуктов компании National Semiconductor. [Электронний ресурс]. — Режим доступу: Http://www.national.com/appinfo/power.

21.Информационный портал компании Intel по семейству процессоров PXA27x [Электронний ресурс]. — Режим доступу: Http://www.intel.com/design/pca/prodbref/253820.htm.

22.Информационный портал по аккумуляторным батареям [Электронний ресурс]. — Режим доступу: Http://www.cadex.com/info.asp.