Назад в библиотеку

ИССЛЕДОВАНИЕ МЕТОДОВ СНИЖЕНИЯ ЭНЕРГОПОТРЕБЛЕНИЯ ВО ВСТРАИВАЕМЫХ ПРИЛОЖЕНИЯХ НА БАЗЕ DSP И FPGA

Автор:

Самсонова И.А., Мирошкин А.Н., Донецкий Национальный Технический Университет

Источник:

Электронный архив Донецкого национального технического университета

Анотация:

В статье рассматриваются различные способы снижения энергопотребления встраиваемых приложений на базе DSP и FPGA. Описаны проблемы, приводящие к проблеме повышенного энергопотребления, и методы борьбы с ними.

Введение

Усложнение встраиваемых приложений требует применения более производительных DSP и FPGA, которые должны отвечать возрастающим требованиям к уменьшению габаритов и потребляемой мощности. Чтобы решить данную проблему, разработчики должны найти оптимальное решение с точки зрения обеспечения требуемых характеристик и ограничения энергопотребления. Кроме этого, необходимо обеспечить возможность модернизации и перепрограммирования устройства, что особенно важно для телекоммуникационного и сетевого оборудования.

Тенденция к уменьшению энергопотребления затрагивает самые разные приложения. Огромное количество различных устройств оборудованы дисплеями, потребляющими значительную часть мощности. В промышленности системы управления электродвигателями рассматриваются как ключевые элементы для повышения общей энергоэффективности производственных процессов.

1 Поиск баланса между производительностью и энергоэффективностью DSP

Чтобы увеличить производительность DSP при переходе на уменьшенные технологические нормы раньше разработчики наращивали тактовую частоту крис¬талла. Это увеличивало эффективность чипа, так как с уменьшением размеров элементов схемы возрастала скорость ее работы. Однако при переходе на топологические нормы менее 90 нм возникла проблема чрезмерного увеличения рассеиваемой мощности. Каждое увеличение тактовой частоты кристалла увеличивает энергопотребление. Одним из путей решения стало использование нескольких более медленных процессоров в одном устройстве, что привело к появлению многоядерных DSP. Это снижает потребляемую мощность, но требует увеличения площади кристалла. Однако вычислительная мощность устройства возрастает, поскольку за счет снижения энергопотребления, на кристалле можно разместить большее количество процессорных ядер.

2 Ограничения, связанные с удельной рассеиваемой мощностью

При рассеивании мощности увеличивается тепло, генерируемое кристаллом. Это приводит к пропорциональному увеличению температуры кремния. В настоящее время высокопроизводительные процессоры работают вблизи максимальной допустимой температуры перехода. Для преодоления ограничений необходима новая технология корпусирования кристаллов. Потребляемая мощность в основном зависит от трех ключевых факторов: рабочей частоты, паразитной емкости и напряжения питания ядра процессора, как приведено в формуле. Мощность пропорциональна частоте, емкости и квадрату напряжения питания.

Когда температура перехода находится близко к максимально допустимому значению, отвод тепла, рассеиваемый корпусом и кристаллом, следует увеличить примерно в 2 раза. Чтобы удерживать температуру перехода ниже допустимого уровня, дальнейшее увеличение удельной мощности невозможно. Выходом было бы снижение тактовой частоты примерно на 25 % и соответствующее уменьшение производительности кристалла. Таким образом, необходимо решение, которое позволило бы улучшить производительность системы без увеличения рассеиваемой мощности.

В архитектуре современных DSP используется огромное количество промежуточных триггеров и регистров, работающих на высокой частоте. Они также не участвуют в вычислительном процессе и обработке сигнала.Эти элементы должны работать значительно быстрее одного цикла тактовой частоты. Тогда необходимость использования высокоскоростных схем, потребляющих значительную энергию.

Асинхронные схемы, не требующие синхронизации, имеют проблемы с гонками сигналов. Считается, что асинхронные схемы труднореализуемы и ненадежны. Разработчики стараются избегать асинхронных решений в высокопроизводительных DSP и других сложных схемах. Однако свежий и открытый взгляд на такие решения позволил бы определить строгую и надежную методологию разработки асинхронных схем. Появление соответствующих инструментов проектирования привело бы к возможности создания эффективной и надежной среды разработки асинхронных схем. В такой среде можно было бы осуществлять моделирование и функциональное проектирование асинхронных схем так же тщательно, как и тактируемых схем. Некоторые из наиболее существенных преимуществ асинхронных схем связаны с уменьшением потребляемой мощности и эффективным использованием площади кристалла. В асинхронных схемах нет необходимости учитывать жесткие требования по синхронизации отдельных блоков, и они могут быть построены на основе менее мощных каскадов, что снижает общее энергопотребление системы и экономит площадь кристалла.

3 Интегрирование DSP-ядра и микропроцессора

Во встраиваемых системах присутствуют как алгоритмы обработки сигнала, так и управляющие алгоритмы, которые должны работать вместе, чтобы выполнять необходимые функции. Это взаимодействие организуется в различных приложениях по-разному. Алгоритмы эффективно работают на DSP. Управляющее программное обеспечение реализует машину состояний, которая управляет пользовательским интерфейсом, клавиатурой и другими функциями.

Существует несколько проблем, связанных с совместной работой функций обработки сигналов и управления. Например, при реализации сложного приложения на встраиваемой системе отладка функционирования процессорных ядер в режиме реального времени и соответствующее разделение кода может быть весьма трудной задачей из-за необходимости синхронизации двух разных ядер. Многие сложные приложения, такие как обработка видеосигналов, трудно разделить между ядрами. Основной проблемой разделения системного приложения является то, что каждое ядро требует свою внешнюю подсистему памяти, увеличивая при этом общее энергопотребление системы. Кроме того, требуется обеспечить управление этими независимыми подсистемами памяти.

Альтернативный подход заключается в объединении DSP и микропроцессора в одном устройстве, которое можно реализовать путем добавления DSP-команд к RISC-ядру. Это могут быть команды умножения или аккумулирования, команды для выполнения специальных видов адресации и др. Такой метод объединения ресурсов обеспечивает несколько преимуществ, одним из важнейших из которых является работа лишь одного приложения в исходном формате под управлением ОС, что упрощает проектирование, облегчает интеграцию и ускоряет время разработки.

Единое ядро позволяет осуществлять динамическое распределение DSP-кода и управляющего кода при изменении системных требований или внешних условий. Интеграция большего количества функциональных блоков в одном устройстве позволяет также снизить энергопотребление системы и обеспечивает другие преимущества.

4 Использование FPGA во встраиваемых приложениях с малым энергопотреблением

Микросхемы программируемой логики — FPGA — с их гибкостью и возможностью адаптации к изменяющимся условиям играют все более существенную роль во многих встраиваемых приложениях. В FPGA на базе SRAM наблюдаются всплески потребления энергии при включении питания и при загрузке конфигурации во время системной инициализации. Для конфигурирования подобных FPGA требуется специальное внешнее устройство.

FPGA на основе флэш-памяти не требуют внешней памяти для хранения конфигурации устройства, которая загружается в FPGA при каждом включении питания прибора и при системной загрузке. Исключение дополнительных компонентов, которые требуются для FPGA на базе SRAM, не только уменьшает площадь печатной платы и мощность, рассеиваемую системой, но также повышает надежность и снижает общую стоимость системы на целых 70 %, по сравнению с подобными решениями на основе SRAM.

В общем случае, мощность, потребляемая FPGA, состоит из статической и динамической составляющей. Статическая мощность определяется токами утечки, когда устройство включено, сконфигурировано и находится в состоянии покоя. Динамическая мощность потребляется, когда устройство находится в активном рабочем режиме. До последнего времени динамическая мощность доминировала в общем энергопотреблении (рис. 1).

Рисунок 1 — Рост динамической и статической мощности FPGA в зависимости от технологического уровня

Уменьшение технологических размеров и, соответственно, переход на более низкое напряжение питания помогало решить проблему роста динамической мощности потребления. Однако в настоящее время дальнейшее масштабирование схем становится затруднительным. При каждом масштабировании технологического процесса увеличивается статическая составляющая мощности потребления FPGA на основе SRAM, что обусловлено явлениями квантового туннелирования и предпороговой утечкой. В результате, статическая составляющая мощности потребления становится доминирующей.

При проектировании системы на базе SRAM FPGA, кроме статической и динамической мощности, необходимо учитывать еще три режима энергопотребления: включение питания (запуск), конфигурирование и различные режимы низкого энергопотребления. Мощность, потребляемая во время запуска и конфигурирования, довольно значительная, и это необходимо принимать во внимание при разработке системы питания и выборе емкости батарей. В полностью энергонезависимой FPGA на базе флэш-памяти отсутствуют режимы запуска и конфигурирования, что упрощает задачу проектирования и снижает общее энергопотребление системы.

Так как энергонезависимая FPGA на основе флэш-памяти не использует миллионы ячеек SRAM, потребляющих значительную мощность, они имеют значительно меньшую статическую мощность потребления, что делает их идеальными для приложений, критичных к рассеиваемой мощности. Кроме того, улучшается быстродействие, и обеспечивается надежность и безопасность системы.Одним из важнейших приложений с жесткими требованиями по потребляемой мощности является портативное медицинское оборудование. Эти приборы должны отвечать высоким требованиям по надежности, многофункциональности, обладать коммуникационными возможностями и способностью к регистрации данных.

Сегодня от современной медицинской техники ожидают больше, чем измерения и мониторинга параметров. Некоторые из приборов регистрируют и анализируют данные и пересылают их в медицинские учреждения. Например, приборы для измерения уровня инсулина в настоящее время оборудованы коммуникационными портами (ИК и беспроводными) для передачи результатов измерений в режиме реального времени на ПК или дозатор инсулина.

Для лучшения энергэффективности многие поставщики FPGA FPGA встраивают микроконтроллерные и микропроцессорные ядра в свои приборы. Увеличенная функциональность этих устройств позволяет исключить с платы такие компоненты как флэш-память, некоторые аналоговые микросхемы, источники тактового сигнала, EEPROM и часы реального времени, что уменьшает стоимость, габариты системы и общее энергопотребление.

Динамическая мощность также сильно зависит от топологии кристалла. Необходимо по возможности располагать связанные блоки схемы поблизости друг от друга, так как при уменьшении длины провод¬ников емкостная нагрузка уменьшается и рассеиваемая мощность падает. Современные программные средства разработки FPGA способны оптимизировать топологию схемы в целях снижения энергопотребления.

Для улучшения энергоэффективности системы также используют выборочное снижение напряжения питания отдельных блоков чипа или определенных микросхем на плате. Реализация нескольких шин питающих напряжений, не связанных друг с другом, позволяет осуществлять выборочное отключение определенных блоков FPGA.

Для снижения энергопотребления может быть использована макрооптимизация: некоторые стандартные логические элементы могут предлагаться в различных версиях, оптимизированных для высокой производительности, высокой плотности упаковки или низкой мощности потреб¬ления.

5 Инструменты анализа и оптимизации энергопотребления

Для минимизации энергопотребления FPGA и ускорения цикла проектирования разработчики используют программные инструменты, оптимизирующие рассеиваемую устройством мощность. Это могут быть как инструменты разработки топологии, так и средства анализа рассеиваемой мощности.

Проектируемые схемы могут работать в комбинированных режимах. Некоторые инструменты анализа потребляемой мощности представляют данные о средней потребляемой мощности на основе графика работы устройства, когда инженер задает время работы устройства в определенном режиме энергопотребления. Это удобно для выбора подходящей для приложения батареи, так как срок ее службы значительно увеличивается при работе устройства в режиме энергосбережения.

Наконец, в некоторых инструментах анализа VCD-файл, полученный в результате моделирования, можно использовать для определения пиковой мощности в течение тактового цикла или за несколько циклов. Эта возможность позволяет точно определить момент времени или номер тактового цикла, когда потребляется максимальная мощность, что позволяет дополнительно уменьшить энергопотребление схемы.

6 Заключение

Постоянное развитие функциональности портативной техники ведет к увеличению потребления энергии. Для решения этой проблемы существует два пути: первый — это открытие нового типа электрохимической системы аккумуляторной батареи или усовершенствование старой, второй — экономия энергии за счет применения интеллектуальных микросхем управления питания.

Список литературы

[1] Oshana R. Embedded applications using integrated DSP and microprocessorcores [Электронный ресурс]. — Режим доступа: http://dsp-fpga.com/articles/embedded-integrated-dsp-microprocessor-cores/

[2] Morrissey D.Power vs. Performance: The ultimate DSP design challenge[Электронный ресурс]. — Режим доступа: http://dsp-fpga.com/articles/power-dsp-design-challenge/

[3] Plante C. The drive to lower power [Электронный ресурс]. — Режим доступа: http://dsp-fpga.com/articles/the-drive-lower-power/

[4] Wickersham F. Design techni¬ques for FPGA power optimization [Электронный ресурс]. — Режим доступа: http://dsp-fpga.com/articles/design-techniques-fpga-power-optimization/

[5] Ежов В. Пути снижения энергопотребления во встраиваемых приложениях на базе DSP и FPGA [Электронный ресурс]. — Режим доступа: www.russianelectronics.ru/leader-r/review/2192/doc/48354/