Зинченко Ю., Дяченко О., Маркитантов В., Прокопченко В., Жебелев О., Мирошников А.,

Рытов А., Масюк А., Скабелка В., Штукарин И., Прядко И., Волкогон А., Резник В.

 

Донецкий государственный технический университет (ДонГТУ)

 

НОВЫЕ  HARDWARE-ТЕХНОЛОГИИ  В  ДОНГТУ

 

Последнее десятилетие ХХ века характеризуется стремительным развитием новейших технологий проектирования аппаратных средств вычислительной техники и прежде всего HDL- и FPGA-технологий, основанных на программируемых логических интегральных схемах (ПЛИС - FPGA) [1,2] и языках программирования аппаратуры (ЯПА-HDL), таких как VHDL [3] и VERILOG. Эти технологии позволяют создавать устройства с программируемой структурой практически любой сложности  непосредственно на рабочем месте проектировщика. Сложилась уникальная ситуация, когда большие проекты можно создавать непосредственно на персональном компьютере проектировщика, что особенно важно для Украины, имеющей, с одной стороны, гигантский парк морально и физически устаревшей техники, и, с другой стороны, испытывающей известные проблемы в радиоэлектронной промышленности.

 

Мировые производители FPGA и компании, занимающиеся разработкой САПР на их основе проводят активную компанию по распространению своей продукции в СНГ и, в частности, на Украине. Фирма XILINX, США, учредила свои представительства в России (SCAN Еngineering, Воронеж) и на Украине (Pulsar, Днепропетровск). Значительное внимание уделяется сфере образования. Фирма ALDEC, США  при координирующем участии НТУУ-КПИ, Киев, внедряет свои программные разработки в ВУЗах Украины.

 

Задействован в этом процессе и ДонГТУ. На кафедре ЭВМ с 1998 года по договоренности с фирмой ALDEC, США, и при ее технической поддержке внедряется в учебный процесс по нескольким дисциплинам новейшая полнофункциональная САПР этой фирмы – ACTIVE-HDL [4]. Установились деловые отношения также с фирмой Pulsar, которая оказывает техническую поддержку по проектированию FPGA. Эти организационные мероприятия способствовали значительной активизации внедрения новейших hardware-технологий как в учебном процессе, так и научных исследованиях  ДонГТУ.

 

В настоящее время указанные технологии внедряются в учебный процесс кафедры ЭВМ ДонГТУ по специальности 7.091501 "Компьютерные системы и сети" по 4-м дисциплинам, начиная с 3-го и заканчивая 5-м курсом обучения. С 2001/2002 учебного года запланирована еще одна дисциплина для студентов 3-го курса. Эти дисциплины а также дипломное проектирование и НИРС охватывают следующие основные направления :

1.      Основы VHDL и программирование аппаратуры на его основе;

2.      FPGA и CPLD и проектирование вычислительных устройств на их основе;

3.      Тестовое диагностирование ВУ и  TestBench-генераторы;

4.      Контролепригодное проектирование ВУ;

5.      Архитектура BOUNDARY SCAN (стандарт IEEE 1149.1) и тестирование FPGA [5];

6.      Нейронные сети и их проектирование на FPGA.

 

Выполнение лабораторных работ в САПР  ACTIVE-HDL  с  применением SYNOPSYS EXPRESS позволяет прививать практические навыки на всех этапах проектирования: ввод проекта (схематический, автоматный, языковый, смешанный), моделирование, отладка (TestBench) и FPGA-синтез.

Традиционное обучение по указанным дисциплинам сопровождается в ДонГТУ следующими разработками для учебного процесса:

1.      Методические on-line системы дисциплин – интегрированное методическое обеспечение в HTML--формате, разрабатываемое для каждой дисциплины и включающее кроме традиционного  материала (вариантов задания, порядка выполнения работы и т.д.) также конспект лекций, VHDL-справочник и инструкции по работе с ACTIVE-HDL.

2.      Система VHDL-тестирования (Delphi 4) – программное обеспечение, разрабатываемое для тестирования знаний по VHDL по принципу «выбор из  вариантов, «точный ответ», а также на основе автоматического анализа VHDL-программы, написанной студентом в оболочке данной системы, путем организации специального удаленного доступа к ACTIVE-HDL. Система может использоваться автономно и встраивается в методические on-line системы дисциплин для автоматизации контроля знаний по лабораторным работам. Разрабатывается Internet-вариант системы (Perl 5, MySQL, HTML).

3.      Обучающая VHDL-система (Delphi 4) - программное обеспечение, разрабатываемое для самостоятельного обучения студентов по VHDL, что особенно важно для студентов заочной формы обучения. Включение в ее состав подсистемы VHDL-тестирования позволяет также автоматизировать процесс контроля знаний студентов.

 

Научные исследования и проектирование по указанным технологиям охватывают прежде всего следующие разработки:

1.      Разработка диагностической модели (Т-модель) дискретного устройства (ДУ) на логическом и функциональном уровнях, и способов решения диагностических задач (синтез и анализ тестов) на ее основе [6].

2.      Разработка в составе ACTIVE-HDL программного обеспечения (С++, VHDL) экспериментальной подсистемы синтеза и анализа тестов ДУ на базе Т-модели.

 

Реализация этих способов обладает следующими преимуществами по сравнению с аналогами:

1.      параллельное моделирование неисправностей (за один проход моделирования),

2.      возможность иерархического построения диагностической модели;

3.      возможность аппаратного моделирования (путем построения тест-процессора на FPGA);

4.      решение разнородных диагностических задач единым подходом на основе программного и/или аппаратного моделирования.

Ведутся также научные исследования кафедры ЭВМ по разработке на FPGA способов проектирования ВУ и нейронных сетей, в частности. Обсуждается возможность  проектирования на основе VHDL и FPGA системы анализа газобезопасности шахт угольной промышленности.

Литература

1.      The Programmable Logic Data Book // San Jose, California, US: XILINX (www.xilinx.com).

2.      Программируемые логические ИМС на КМОП-структурах и их применение / Мальцев П.П., Гарбузов Н.И.,  и др. – М.: Энергоатомиздат, 1998.– 160 с.

3.      Peter J.Ashenden   The designer’s Guide to VHDL / San Francisco California, US 1995г. 688c.

4.       ACTIVE-HDL Серия. Книги 1–4. США, Невада: ALDEC, Май 1999 (www.aldec.com.ua).

5.      Test access Port and Boundary-Scan Architecture //IEEE Standart 1149.1 – 1990 (Includes IEEE Standart 1149.1a – 1993).

6.      Зинченко Ю.Е. Т-модель дискретного устройства и решение диагностических задач на ее основе // Вестник ТРТУ – ДонГТУ. Материалы II Международного семинара «Практика и перспективы институционного партнерства». Донецк, ДонГТУ, 2001, N 1. С 36 - 47.